James Smith
James Smith
  • 488
  • 1 128 580
ktmidi in a Java project with IntelliJ
This is a ktmidi project with Java.
Переглядів: 14

Відео

ktmidi in a Kotlin Project
Переглядів 1821 день тому
I want to show a proof-of-concept of using the KTMIDI project within a Kotlin project. I don't really use Kotlin, but figure that since the KTMIDI project is primarily in Kotlin, I should give it a try. While I'm only testing out the MIDI 1.0 element in here, I'm aiming to try out MIDI 2.0 later. This demo is likely not the most efficient way to do this, but I needed to start somewhere. Source:...
Object-Oriented Concepts: Polymorphism
Переглядів 1493 місяці тому
Let's do some polymorphism on the previous example (ua-cam.com/video/8t5L6bcDeV8/v-deo.html). We're going to override the playMusic() method by redefining it in the derived class. So, while there is already a playMusic() in the base class that we inherit from, we can redefine ("morph" it by changing its shape) within the derived class.
Object-Oriented Concepts: Inheritance
Переглядів 1883 місяці тому
Here we look at how to use inheritance in the context of Java and jMusic. The base class knows how to beat a drum. Then we create another class that inherits the drum. This new class can do both drums and flute.
Button Events with Java and Firmata
Переглядів 1843 місяці тому
Dealing with events in Java when we have an Arduino-compatible board connected. Note that I've already loaded Standard Firmata on to the board via the Arduino IDE. Reference: www.yorku.ca/professor/drsmith/2022/02/25/easy-java-arduino-with-firmata/
Example Main Project for EECS 1021
Переглядів 9733 місяці тому
This shows an example of a video for illustrating all the necessary features of the EECS 1021 project video report for the main project. Each learning outcome is discussed explicitly. A demonstration of the working system is also shown, and it's clear that the pump is working in response to the soil moisture values, as well as user inputs via the button. A graph is visible, too This system is s...
Introduction to Unit Testing in Java
Переглядів 5563 місяці тому
Here, I show how to set up basic unit testing with Java and jUnit in IntelliJ.
Setting up an Arduino with Firmata and Java
Переглядів 5235 місяців тому
Based on the instructions found on the following webpage we set up an arduino board to communicate with a computer running a Java program. This is similar to earlier videos, but it was done in February 2024 to confirm that the approach still works. www.yorku.ca/professor/drsmith/2022/02/25/easy-java-arduino-with-firmata/
Flowchart exercises for Java in VPL
Переглядів 675 місяців тому
Introduction to virtual programming lab exercises that use ASCII art flowcharts (c/o arthursonzogni.com/Diagon/#Flowchart)
Example of downloading VPL files into IntelliJ for Practice
Переглядів 795 місяців тому
This video is aimed at York University students who use Virtual Programming Lab in EECS 1021.
Example Unit Testing in Javawith Customized Messages via Try-Catch
Переглядів 525 місяців тому
Setting up a unit test for a sample student submission. Using jUnit for Java but I end up calling it via try-catch in Main in order to make sure that it returns messages to the console regardless of whether the unit test failed or succeeded.
Fixing Erroneous Red Errors in IntelliJ
Переглядів 8996 місяців тому
IntelliJ is really good about giving you hints about bad or poorly written code. Its hints are really, really good. Except when they're not. Sometimes in IntelliJ you'll get red highlights on perfectly fine key words like println. To fix it you need to "invalidate caches". Audio isn't great because I recorded and edited the video on the subway here in Toronto, on my way home after class. Perhap...
Combining User Input with jMusic
Переглядів 8206 місяців тому
Combining User Input with jMusic
jMusic Example
Переглядів 1,2 тис.6 місяців тому
This is an example of how to write a simple music program in Java using the jMusic library.
Introduction to EECS 1021 (Jan 2024)
Переглядів 7686 місяців тому
This is the introductory presentation for EECS 1021, a course on Object-Oriented programming at York University that uses Java and Arduino-compatible boards to teach important concepts in computational thinking to engineering students.
Simple Java Program (Jan. 2024)
Переглядів 9526 місяців тому
Simple Java Program (Jan. 2024)
Practical Java: Install IntelliJ on Windows & macOS (January, 2024)
Переглядів 1,2 тис.6 місяців тому
Practical Java: Install IntelliJ on Windows & macOS (January, 2024)
Programming Concepts: Characterizing a Sensor
Переглядів 4627 місяців тому
Programming Concepts: Characterizing a Sensor
Matlab Minute: Hobby Servo and Arduino
Переглядів 608 місяців тому
Matlab Minute: Hobby Servo and Arduino
Relays, Motors, Arduinos and MATLAB
Переглядів 978 місяців тому
Relays, Motors, Arduinos and MATLAB
MATLAB Minute: Reading Air Pressure and Temperature using Arduino Compatible Boards and the BMP280
Переглядів 1298 місяців тому
MATLAB Minute: Reading Air Pressure and Temperature using Arduino Compatible Boards and the BMP280
MATLAB Minute: using the DHT20 temperature and humidity sensor with an Arduino compatible board
Переглядів 5728 місяців тому
MATLAB Minute: using the DHT20 temperature and humidity sensor with an Arduino compatible board
Comparing a commercial CO2 monitor to the SGP-30 Equivalent CO2 sensor board in MATLAB.
Переглядів 4328 місяців тому
Comparing a commercial CO2 monitor to the SGP-30 Equivalent CO2 sensor board in MATLAB.
Matlab Minute: using an SGP-30 eCO2 sensor with an Arduino
Переглядів 6628 місяців тому
Matlab Minute: using an SGP-30 eCO2 sensor with an Arduino
Virtual Programming Lab: Python with Unit Tests
Переглядів 2388 місяців тому
Virtual Programming Lab: Python with Unit Tests
MATLAB + Arduino: Pump & MOSFET
Переглядів 6849 місяців тому
MATLAB Arduino: Pump & MOSFET
Arduino + Matlab + Apple: Use 2022b (don't use 2023!)
Переглядів 1,2 тис.9 місяців тому
Arduino Matlab Apple: Use 2022b (don't use 2023!)
Matlab Minute: Measure Moisture on an Arduino
Переглядів 6889 місяців тому
Matlab Minute: Measure Moisture on an Arduino
Matlab Minute: Measure Light Intensity on an Arduino
Переглядів 2879 місяців тому
Matlab Minute: Measure Light Intensity on an Arduino
Matlab Minute: Play a sound on an Arduino
Переглядів 4709 місяців тому
Matlab Minute: Play a sound on an Arduino

КОМЕНТАРІ

  • @Morkaland
    @Morkaland 6 днів тому

    5am? lol

  • @fifaham
    @fifaham Місяць тому

    I have done similar coding in C and Assembly with Holtek MCU.

  • @hectorvidales876
    @hectorvidales876 2 місяці тому

    Great tutorial, thank you.

  • @omkarkamble3811
    @omkarkamble3811 3 місяці тому

    how can i generate executable file using mplabx

  • @gizemkacann
    @gizemkacann 5 місяців тому

    many thankss. supper effective explanation !!

  • @aesha____
    @aesha____ 7 місяців тому

    thank u thank u thank u i finally understand loop !!!!

  • @user-om5lg1vy5x
    @user-om5lg1vy5x 10 місяців тому

    hi actually i have some issue with import project please do some video on it

  • @arduinomaquinas
    @arduinomaquinas Рік тому

    Great job ❤🇧🇷😉👍👏👏👏👏

  • @giovaniguillenrosas2722
    @giovaniguillenrosas2722 Рік тому

    Hi there, excuse me sir, When I started debugging, the output window indicated me the following message "Watchdog timer has caused a reset", how can I fix this? Thank you...

  • @watchvdos
    @watchvdos Рік тому

    Looking for assembler MPLAB V3. 9 for programming 12F509, 14F, 16F. Please guide me.

  • @pgm2004
    @pgm2004 Рік тому

    your voice is so soothing. makes learning sm more enjoyable especially when its at 2am the night before an exam lol

  • @michaeljohnston406
    @michaeljohnston406 Рік тому

    I updated this to a newer PIC 16F1847 which is more robust that 16 F 84. I did find that you need to turn off the analog select section to make the pin a true digital pin! thank you great video!

  • @23atriroykgbvb42
    @23atriroykgbvb42 Рік тому

    /yes it's working

  • @TheLightningStalker
    @TheLightningStalker 2 роки тому

    What if I want to do the same thing but debug code compiled by Arduino IDE?

  • @djabir
    @djabir 2 роки тому

    please can you send me algorithm. i have same project to do at school

  • @konehnorbert6300
    @konehnorbert6300 2 роки тому

    thanks for the video. please i need some help. how do I plot a function like this below? ┌ | 2x² + 3y, 0 ≤ y ≤ x ≤ 1 z = ┤ | 2x - y², 0 ≤ x < y ≤ 1 └ Plot (x,y,z) thanks in advance

  • @baseballdude345
    @baseballdude345 2 роки тому

    jeez man youre gonna have one helluva caffeine rush

  • @bernag7297
    @bernag7297 2 роки тому

    Hi, select header and hardware tools does not appear in mplabx i downloaded. What could be the problem? Please help me

  • @sammyay-man2754
    @sammyay-man2754 2 роки тому

    Can I get a link of this program download for free?

  • @yakuptakal3119
    @yakuptakal3119 2 роки тому

    Hi. I want to read and write MC56F84550V MCU. How to do this with Codewarrior? Can it help?

  • @tamburaimashonganyika4757
    @tamburaimashonganyika4757 2 роки тому

    well explained thanks James but your sreen is not very clera

  • @antoniogiustiniani9717
    @antoniogiustiniani9717 2 роки тому

    great! How can i use different colours likle rgb for this function ?

  • @mikhaeelogunsanya1553
    @mikhaeelogunsanya1553 2 роки тому

    Hello... I need your help with a Matlab code

  • @Paull520
    @Paull520 2 роки тому

    can you explain how to make for loop into a while loop

  • @trevuamirai5324
    @trevuamirai5324 2 роки тому

    Thanks

  • @wellingtonsilva5357
    @wellingtonsilva5357 2 роки тому

    Ótimo vídeo, coloca legenda para português Brasil 🇧🇷🇧🇷🇧🇷

  • @genxtech8pune
    @genxtech8pune 2 роки тому

    Where I can learn detail code language for controller frdmkw 38

  • @fregnen
    @fregnen 2 роки тому

    Thaaaank yoooooou!! Had problems with the figure only showing 2D. Solved it with "view(3);" (if anyone in the future should have the same problem).

    • @sandeepgarg7321
      @sandeepgarg7321 Рік тому

      Thanks a lot Linnea, u solved the actual problem.

  • @Amalineth
    @Amalineth 3 роки тому

    good explained

  • @danr2652
    @danr2652 3 роки тому

    Codewarrior still around?

    • @onnimikki2007
      @onnimikki2007 3 роки тому

      Yes. But I have moved on to other hardware (kinetis and LPC8xx) so prefer to use MCUXpresso when dealing with NXP / Freescale / Motorola parts and MPLAB X when dealing with Microchip parts.

  • @ademozer209
    @ademozer209 3 роки тому

    do you have the code for this project?

  • @jjfan4014
    @jjfan4014 3 роки тому

    I remember that you can just use TRISA0 = 0. I used PIC16F a decade ago.

  • @markharrington5826
    @markharrington5826 3 роки тому

    Ideally what would have been far better would be to approach this in assembler to start with as then all would learnt about the special function registers, indirect addressing , direct addressing , working registers , rotate left through carry, RRF RLF, the alu , how the different flags in the status registers are affected , timer functions , the ports which can be changed from analogue to digital only pins , interrupts , timer interrupts , hardware interrupts , port pin interrupts spi , i2c This would have by the time you reach doing this in c enable many to work out how the CPU actually works and be able to debug and see what your code is actually doing with timing and nop functions and with comparison of C at assembler level It great being able to program in c but not much point if you dont know how a byte is latched from the trisb register for example to portb or how you clock data in and out Then you would also I think whilst on the topic be explaining about xtal timing , internal , External RC , HS, XT , LP oscillator settings with the different settings with configuration bits for example , as guaranteed what will happen is initially when learning and not knowing this plus before starting to write software many will have a setting of code protect = on and that means can no longer can use IC EG // CONFIG #pragma config FOSC = HS // Oscillator Selection bits (HS oscillator) #pragma config WDTE = OFF // Watchdog Timer (WDT disabled) #pragma config PWRTE = OFF // Power-up Timer Enable bit (Power-up Timer is disabled) #pragma config CP = OFF // Code Protection bit (Code protection disabled) // #pragma config statements should precede project file includes. // Use project enums instead of #define for ON and OFF. Discussing pipe lining and how that works and why I would think also be introductory before coding as this is quite important as basic fundamentals of how that cpu operates In fact there are many topics that have not been discussed or even touched on which again you could have used simulators and other software such as Proteus or shown others how to use simulide for example Link here for you www.simulide.com/p/home.html There is also no mention of declaring the XTAL clock frequency Eg #define _XTAL_FREQ 20000000 // 20mhz Id say that might just be a little important myself before even trying to code any cpu ***** Next important point is RA4 *** Iff you dont know this and haven ever read a data sheet before then you may find yourself attach the led to ground via RA4 and no output Eg please see data sheet on ports Quote , " Pin RA4 is multiplexed with the Timer0 module clock input to become the RA4/T0CKI pin. **** The RA4/T0CKIpin is a Schmitt Trigger input and an open drain output. *****All other RA port pins have TTL input levels and full CMOS output drivers ( BIG HUGE DIFFERENCE " ) Next how to set trisa although yes fine how ever not standard practice so you would need to discuss the advantages of TRISA = 0x00 ; Or TRISA = 0b00000000 ; Or far better if setting for input TRISA = (1<<4) ; RA4 Now becomes input its far clearer and more understandable in the long run Setting this can also be done via this command TRISAbits.TRISA0 = 0; // refers to bit 0 or RA 0 on latch Similarly PORTABits.RA0 = 1 ; // I know can see very clearly that RA0 is logic one or at 5volts straight away since i can map this directly to the case outline , pin outline as described in the data sheet How about a link to data sheet as well Don't you think that this might be just slightly advantageous at this stage The shear lack of informations these days not to mention accuracy is quite bad and also I might add very noticeable in trade and industry Its appalling We want professionals not two bit have a go results Incredible , just incredible A link for you Not that hard is it really with a little bit of extra informations to activate hidden senses in thought Finally Its the difference between 318 likes and 130000 likes Says it all for you !! ww1.microchip.com/downloads/en/DeviceDoc/35007C.pdf

  • @girishsatya1701
    @girishsatya1701 3 роки тому

    sir, what if i just need a plan cube to be plotted

  • @dnamr1
    @dnamr1 3 роки тому

    Hi James, do you have any document how you configure MPLABX for arduino debugging and simulation which you are showing there? is that actually integrated with the simulation image which you are showing (the led blinking)

  • @alisoleiman7166
    @alisoleiman7166 3 роки тому

    Thank you 🙏🏼

  • @highlanderc
    @highlanderc 3 роки тому

    Subscribed. Please more of this? Amazing Channel

    • @onnimikki2007
      @onnimikki2007 3 роки тому

      thanks. No longer doing work on the 9s12. Mostly PIC32, PIC16, ATMEGA, ARM...

  • @KevinSmith-dx6xq
    @KevinSmith-dx6xq 3 роки тому

    Nice video. Thank you. How did you turn your desk at 45 degree angle to your window? :-)

  • @VonchkynProduction
    @VonchkynProduction 3 роки тому

    Hi, do you perhaps know where i can find example codes for turning on leds by using a switch? I'm trying to practice with interrupts, but i've never used this board before

    • @onnimikki2007
      @onnimikki2007 3 роки тому

      Yes. Prof. Jonathan Valvano's website. users.ece.utexas.edu/%7Evalvano/metrowerks/

    • @VonchkynProduction
      @VonchkynProduction 3 роки тому

      Thank you!

  • @irfan6973
    @irfan6973 3 роки тому

    i need help can i add a motor on this circuit

  • @kamilfatihpehlivan8124
    @kamilfatihpehlivan8124 3 роки тому

    In RGB 255 is means more color and 1 is pretty much dark. How is going 255 to 100 making it more red?

  • @dynamite1811
    @dynamite1811 3 роки тому

    hi I came across ur profile while searching for better understanding of a gaze tracking for adaptive visual optics. i would like to know how i can make a 3d matrix of an eyeball with a beam of light. thank you

  • @Chen-zd6rx
    @Chen-zd6rx 3 роки тому

    Thanks for your teaching

  • @Gadgetgyan1
    @Gadgetgyan1 3 роки тому

    PlZ do more videos with different interface and debug them

  • @kottakotanavyageethika8079
    @kottakotanavyageethika8079 3 роки тому

    can you write a program for getting different views(side view, top view, front view) of a cuboid using bresenham line drawing algorithm

  • @hrishikeshdas9754
    @hrishikeshdas9754 3 роки тому

    how to make a hole in the patch surface?

  • @---hn8dw
    @---hn8dw 3 роки тому

    what a cutie

  • @fernandohood5542
    @fernandohood5542 3 роки тому

    Dont you require a include for the pic? Why did you delete the other two includes?

    • @onnimikki2007
      @onnimikki2007 3 роки тому

      Only include the ones you need. xc.h provides the chip-specific definitions. The other two are added in the template but are unnecessary. None of what I did required them. I typically like to include stdint.h so that I can declare well-defined integer sizes... uint8_t, int16_t, etc. It's especially useful when writing solutions to work on different architectures like PIC16, PIC32 and ARM. But I didn't do it in this example.

  • @muhammadmubbushirhussain4734
    @muhammadmubbushirhussain4734 3 роки тому

    Thanks!!